Помощничек
Главная | Обратная связь


Археология
Архитектура
Астрономия
Аудит
Биология
Ботаника
Бухгалтерский учёт
Войное дело
Генетика
География
Геология
Дизайн
Искусство
История
Кино
Кулинария
Культура
Литература
Математика
Медицина
Металлургия
Мифология
Музыка
Психология
Религия
Спорт
Строительство
Техника
Транспорт
Туризм
Усадьба
Физика
Фотография
Химия
Экология
Электричество
Электроника
Энергетика

Прямий цифровий синтез (DDS)



Прямий цифровий синтез - відносно новий метод синтезу частоти, що з'явився на початку 70-х років минулого століття. Всі описані методи синтезу доступні розробникам вже десятиліття, але тільки останнім часом DDS приділяється пильна увага. Поява дешевих мікросхем з DDS і зручних засобів розробки робить їх сьогодні привабливими для різних сфер застосування.

DDS унікальні своєю цифровою визначеністю - генерований ними сигнал синтезується з властивою цифровим системам точністю. Частота, амплітуда і фаза сигналу в будь-який момент часу точно відомі і підконтрольні. DDS практично не схильні до температурного дрейфу і старіння.

Основні переваги DDS:

- дуже високий дозвіл по частоті і фазі, управління якими здійснюється в цифровому вигляді;

- екстремально швидкий перехід на іншу частоту (або фазу), перебудова по частоті без розриву фази, без викидів і інших аномалій, пов'язаних з часом встановлення;

- архітектура, заснована на DDS, зважаючи на дуже малого кроку перебудови по частоті, виключає необхідність застосування точного підстроювання опорної частоти, а також забезпечує можливість параметричної температурної компенсації;

- цифровий інтерфейс дозволяє легко реалізувати мікроконтроллерне управління;

- для квадратурних синтезаторів є DDS з I і Q виходами, які працюють узгоджено.

Частотне розширення DDS складає соті і навіть тисячні частки герца при вихідний частоті порядку десятків мегагерц. Такий дозвіл недосяжно для інших методів синтезу. Іншою характерною особливістю DDS є дуже висока швидкість переходу на іншу частоту. Синтезатори на основі PLL використовують зворотний зв'язок і фільтрацію сигналу помилки, що уповільнює процес перебудови частоти. Для DDS швидкість перебудови обмежена практично тільки швидкодією цифрового керуючого інтерфейсу. Більш того, всі перебудови по частоті в DDS відбуваються без розриву фази вихідного сигналу. Оскільки вихідний сигнал синтезується в цифровому вигляді, дуже просто можна здійснити модуляцію різних видів.

Параметри синтезатора частоти дуже важливі для апаратури зв'язку. Будучи серцем системи настройки, синтезатор в основному визначає споживчі властивості конкретного апарату. Як з технічної, так і з економічного боку DDS задовольняє більшості критеріїв ідеального синтезатора частоти: простий, високо, з малими габаритами. Крім того, багато параметрів DDS програмно-керовані, що дозволяє закласти в пристрій нові можливості. Сучасні DDS використовують субмікронну CMOS-технологію, трьохвольтову логіку, мініатюрні корпуси. Одночасно постійно знижуються ціни на них. Все це робить DDS дуже перспективними приладами.

З процесами дискретизації і цифро-аналогового перетворення, який має місце в DDS, пов'язані і деякі обмеження:

- максимальна вихідна частота не може бути вище половини тактової (на практиці вона ще менше). Це обмежує області застосування DDS областями HF і частини VHF-діапазону;

- окремі побічні складові вихідного на виході DDS можуть бути значними, порівняно з синтезаторами інших типів. Спектральна чистота вихідного сигналу DDS сильно залежить від якості ЦАП;

- споживана DDS-потужність практично прямо пропорційна тактовій частоті і може досягати сотень міліват. При великих тактових частотах DDS можуть виявитися непридатними для пристроїв з батарейним живленням.

Структура DDS (НЕ ОБОВ’ЯЗКОВО!)

Якщо відразу розглядати реальну структуру конкретного DDS, то вона може здатися необгрунтовано складною і заплутаною. Для того щоб та чи інша особливість структури DDS була очевидною, почнемо розгляд з кінцевого результату, який потрібно отримати.

Задача DDS - отримати на виході сигнал синусоїдальної форми заданої частоти. Оскільки в DDS формування вихідного сигналу відбувається в цифровій формі, абсолютно очевидна необхідність цифро-аналогового перетворення. Це означає, що в структурі DDS повинен бути ЦАП. У будь-якому випадку на виході ЦАП повинен бути присутнім ФНЧ для придушення образів вихідного спектра, що повторюються з періодичністю FCLK (anti-aliasing filter). Для отримання синусоїдального сигналу на вхід ЦАП необхідно подати послідовність відліків функції sin, наступних з частотою дискретизації FCLK. Закон зміни функції sin в часі складний і цифровими методами просто не реалізується. Можна, звичайно, використовуючи поліноміальний уявлення, обчислювати значення функції sin за допомогою АПУ. Однак такий метод навряд чи буде швидкодіючим, а висока швидкодія якраз є одним з основних вимог до DDS. Тому найбільш підходящим методом формування відліків функції sin є табличний метод. Перекодовочна таблиця (Look Up Table) найчастіше розміщується в ПЗП. Код, який подається на адресні входи ПЗУ, є аргументом функції sin, а вихідний код ПЗУ дорівнює значенню функції для даного аргументу. Аргумент функції sin або фаза, на відміну від значення функції, змінюється в часі лінійно. Сформувати лінійно міняється від часу послідовність кодів набагато простіше. Це здатний зробити простий двійковий лічильник. Тому найпростіший DDS виглядає так: двійковий лічильник формує адресу для ПЗУ, куди записана таблиця одного періоду функції sin, відліки з виходу ПЗУ надходять на ЦАП, який формує на виході синусоїдальний сигнал, що піддається фільтрації в ФНЧ і поступає на вихід. Для перебудови вихідної частоти використовується дільник із змінним коефіцієнтом ділення, на вхід якого надходить тактовий сигнал з опорного генератора.

Така структура DDS має очевидні недоліки. Основним з них є незадовільна здібність до перебудови по частоті. Дійсно, оскільки тактова частота випробовує розподіл на ціле число, крок перебудови буде змінним, причому чим менше коефіцієнт розподілу, тим більше відносна величина кроку. Цей крок буде неприпустимо грубим при малих коефіцієнтах розподілу.

Крім того, при перебудові вихідної частоти буде змінюватися і частота дискретизації. Це ускладнює фільтрацію вихідного сигналу, а також веде до неоптимальному використання швидкісних характеристик ЦАП - вони будуть повною мірою використані лише на максимальній вихідний частоті. Набагато логічніше завжди, незалежно від вихідної частоти, працювати на постійній частоті дискретизації, близькою до максимальної для використовуваного ЦАП.

Всі недоліки описаної вище структури можуть бути усунені шляхом введення одного, але дуже оригінального рішення - замінити адресний лічильник ПЗУ іншим цифровим пристроєм, який називається накопичують суматора. Накопичує суматор являє собою регістр, який в кожному такті роботи пристрою перезавантажується величиною, рівною старому вмісту, плюс деяка постійна добавка (рис. 4). Як і у випадку з лічильником, вміст регістра лінійно збільшується в часі, тільки тепер прирощення не завжди є одиничним, а залежить від величини постійної добавки. Коли накопичує суматор використовується для формування коду фази, його ще називають акумулятором фази. Вихідний код акумулятора фази являє собою код миттєвої фази вихідного сигналу. Постійна добавка, яка використовується при роботі акумулятора фази, являє собою приріст фази за один такт роботи пристрою. Чим швидше змінюється фаза в часі, тим більше частота генерованого сигналу. Тому значення приросту фази фактично є кодом вихідної частоти.

Дійсно, якщо приріст фази дорівнює одиниці, то поведінка нагромаджуючого суматора нічим не відрізняється від поведінки двійкового лічильника. Але якщо приріст фази буде одно, наприклад, двом, то код фази буде змінюватися вдвічі швидше. При цьому на ЦАП коди будуть надходити з тією ж частотою, але будуть представляти собою не сусідні, а взяті через один відліки функції sin. Частота генерується сигналу при цьому буде вдвічі більшою, а частота дискретизації залишиться колишньою. Акумулятор фази працює з періодичними переповнення, забезпечуючи арифметику по модулю 2N. Таке періодичне переповнення відповідає періодичному поведінці функції sin з періодом 2p. Іншими словами, частота переповнення акумулятора фази дорівнює частоті вихідного сигналу. Це частота визначається формулою:

 

FOUT = M x FCLK/2N,

де FOUT - вихідна частота; FCLK - тактова частота; M - код частоти; N - розрядність акумулятора фази. По суті, тактова частота ділиться на деяке число, яке визначається кодом частоти і розрядністю акумулятора фази. При цьому крок перебудови частоти не залежить від її значення і дорівнює D FOUT = FCLK/2N.

З цього співвідношення випливає ще одна унікальна властивість синтезатора частоти на основі нагромаджуючого суматора: якщо збільшити розрядність N, то зменшиться крок перебудови частоти. Причому особливих обмежень тут немає. Наприклад, якщо розрядність нагромаджуючого суматора 32 біта, а тактова частота складає 50 МГц, то частотне дозвіл складе порядку 0,01 Гц! Збільшення розрядності акумулятора фази не вимагає обов'язкового збільшення розрядності адреси ПЗУ. Для адресації можна використовувати лише необхідну кількість старших розрядів коду фази. Більш детально це питання буде розглянуто нижче. Для зменшення обсягу ПЗУ можна використовувати властивості симетрії функції sin. У більшості DDS в ПЗУ міститься тільки 1/4 періоду. Правда, при цьому трохи ускладнюється логіка формування адреси.

Таким чином, в DDS акумулятор фази формує послідовність кодів миттєвої фазисигналу, що змінюється лінійно (рис. 5). Швидкість зміни фази задається кодом частоти. Далі за допомогою ПЗУ лінійно змінюється фаза перетворюється взмінюються за синусоїдальним законом відліки вихідного сигналу. Ці відліки надходять на ЦАП, на виході якого формується синусоїдальний сигнал, що складається з «сходинок». Вони фільтруються аналоговим ФНЧ, і на його виході виходить синусоїдальний сигнал.

Вихідний синусоїдальний сигнал відновлюється з окремих відліків. Ціле число відліків на період укладається лише в окремому випадку (рис. 6, а). В більшості випадків це не так, і на кожному новому періоді сигналу відліки знаходяться в нових місцях (рис. 6, б). Звичайно, картина періодично повторюється, але період повторення може бути дуже різним. Він залежить від коду частоти, розрядності акумулятора фази і від розрядності використовуваного коду фази. У кожному разі з рівним успіхом з послідовності відліків буде відновлений сигнал синусоїдальної форми.

Розглянута вище структура застосовується у всіх сучасних DDS. Об'єднання в одному чіпі швидкодіючого ЦАП і власне DDS (так званий повний DDS або Complete DDS) дозволило отримати досить привабливу альтернативу звичайним синтезаторів на основі PLL. DDS, що не мають вбудованого ЦАП, іноді називають Numerically Controlled Oscillator (NCO), незважаючи на те, що DDS не містить ніяких генераторів.

 

 

 




Поиск по сайту:

©2015-2020 studopedya.ru Все права принадлежат авторам размещенных материалов.